CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Music

搜索资源列表

  1. music.rar

    0下载:
  2. 用VHDL语言仿真音乐设计 用VHDL语言仿真音乐设计,Simulation using VHDL language music design music design simulation VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:227523
    • 提供者:晴天
  1. music

    0下载:
  2. 设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:8680
    • 提供者:lijq
  1. VHDL

    2下载:
  2. 基于vhdl语言的音乐播放器的设计代码。请各位可以根据自己的需要用。-Vhdl language-based music player, the design of the code. Members can be used according to their own needs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:88752
    • 提供者:赵小孩
  1. EP2C-SOURCE_CODE

    1下载:
  2. 有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )-EP2C on some of the procedures (EX: I2C, FLASH, IRDA, MUSIC, LED, LIGHT, SRAM, UART, PS2, SPI)
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-01
    • 文件大小:2994600
    • 提供者:鄧志明
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68339
    • 提供者:任大志
  1. music_1

    1下载:
  2. 这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐 -This is a Quartus II software in the preparation of the VHDL program. After the buzzer can be used to download music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:606350
    • 提供者:班超帆
  1. music

    0下载:
  2. 出血FPGA,用VHDL做的音乐盒,请各位大侠指点。-Bleeding FPGA, using VHDL to do a music box, please instruct heroes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:305773
    • 提供者:tianxingjianma
  1. MusicPlayer

    0下载:
  2. 用vhdl语言实现,从sdisk上读取并播放音乐的功能。 附有详细的设计文档说明-Using VHDL language, from sdisk read and play the music functions. Accompanied by a detailed descr iption of the design documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15984273
    • 提供者:int
  1. song

    0下载:
  2. 音乐,梁祝,其中应用VHDL编写的全过程梁祝。-Music, Butterfly Lovers, in which the application of VHDL to prepare the whole process of Butterfly Lovers.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-04
    • 文件大小:1576
    • 提供者:1
  1. music

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3634
    • 提供者:sq
  1. dianziqin

    0下载:
  2. 设计一个具有16音的电子音乐播放器 具有自动播放的功能 具有音符显示功能 -Design of a 16-tone electronic music player with auto-play function display notes
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-07
    • 文件大小:246492
    • 提供者:邱颖
  1. MUSIC

    0下载:
  2. 简单的乐曲播放器,实验课程作品,使用VHDL语言编写-Simple music player, the experimental program works, the use of language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1223742
    • 提供者:pokeesoft
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. music

    0下载:
  2. 基于vhdl的音乐发生器源程序,已在实验箱上实现-music vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:226859
    • 提供者:浅漓
  1. music

    1下载:
  2. 用CPLD做音乐发生器,实现2首歌播放控制,用ise编译过的工程-CPLD to do with music generator, two songs to play to achieve control, compiled with the project ise
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-03-18
    • 文件大小:307493
    • 提供者:zhuzi
  1. FPGA

    0下载:
  2. 自行选取四首乐曲的一部分,采用VHDL语言层次化和模块化的设计方法, 实现多首乐曲演奏电路的设计 -4 to select the part of music, the use of VHDL language and modular hierarchical design methodology, multi-song concert circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:166595
    • 提供者:赵新歌
  1. song

    0下载:
  2. 用EDA技术实现音乐的自动播放,此源代码用的是VHDL语言,-EDA technology with automatic music player, the source code using the VHDL language,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1445
    • 提供者:枯在
  1. songer2

    0下载:
  2. 用VHDL语言实现多首音乐播放,并可切换,内含两首音乐。-VHDL language used to achieve more than the first music player, and switch, includes two music.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:73587
    • 提供者:figogo
  1. VHDL-music-generator-report-code

    0下载:
  2. VHDL实现音乐发生器,并进行FPGA验证!报告中含有各模块详细代码,和仿真波形!-VHDL music generator and FPGA verification! The report contains a detailed code of each module, and the simulation waveform!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:76895
    • 提供者:一个好人
  1. The-VHDL-music-playing

    0下载:
  2. VHDL音乐演奏与编码-毕业论文,网上下载的,收藏了,贡献给有需要的人-The VHDL music playing and encoding- Thesis, online download, and contribution to the people in need
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1638310
    • 提供者:童智勇
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com